New URL for NEMO forge!   http://forge.nemo-ocean.eu

Since March 2022 along with NEMO 4.2 release, the code development moved to a self-hosted GitLab.
This present forge is now archived and remained online for history.
Changeset 9124 for branches/2017/dev_merge_2017/NEMOGCM/NEMO/TOP_SRC/trcbdy.F90 – NEMO

Ignore:
Timestamp:
2017-12-19T09:26:25+01:00 (6 years ago)
Author:
gm
Message:

dev_merge_2017: ln_timing instead of nn_timing + restricted timing to nemo_init and routine called by step in OPA_SRC

File:
1 edited

Legend:

Unmodified
Added
Removed
  • branches/2017/dev_merge_2017/NEMOGCM/NEMO/TOP_SRC/trcbdy.F90

    r7646 r9124  
    5151      !!---------------------------------------------------------------------- 
    5252      ! 
    53       IF( nn_timing == 1 ) CALL timing_start('trc_bdy') 
     53      IF( ln_timing )  CALL timing_start('trc_bdy') 
    5454      ! 
    5555      igrd = 1  
     
    7676      END DO 
    7777      ! 
    78       IF( nn_timing == 1 ) CALL timing_stop('trc_bdy') 
     78      IF( ln_timing )  CALL timing_stop('trc_bdy') 
    7979 
    8080   END SUBROUTINE trc_bdy 
     81 
    8182 
    8283   SUBROUTINE trc_bdy_dmp( kt ) 
     
    9899      !!---------------------------------------------------------------------- 
    99100      ! 
    100       IF( nn_timing == 1 ) CALL timing_start('trc_bdy_dmp') 
     101      IF( ln_timing )  CALL timing_start('trc_bdy_dmp') 
    101102      ! 
    102103      DO jn = 1, jptra 
    103104         DO ib_bdy=1, nb_bdy 
    104             IF ( trcdta_bdy(jn, ib_bdy)%dmp ) THEN 
     105            IF( trcdta_bdy(jn, ib_bdy)%dmp ) THEN 
    105106               igrd = 1                       ! Everything is at T-points here 
    106107               DO ib = 1, idx_bdy(ib_bdy)%nblen(igrd) 
     
    114115               END DO 
    115116            ENDIF 
    116          ENDDO 
    117       ENDDO 
     117         END DO 
     118      END DO 
    118119      ! 
    119       IF( nn_timing == 1 ) CALL timing_stop('trc_bdy_dmp') 
     120      IF( ln_timing )  CALL timing_stop('trc_bdy_dmp') 
    120121      ! 
    121122   END SUBROUTINE trc_bdy_dmp 
Note: See TracChangeset for help on using the changeset viewer.