New URL for NEMO forge!   http://forge.nemo-ocean.eu

Since March 2022 along with NEMO 4.2 release, the code development moved to a self-hosted GitLab.
This present forge is now archived and remained online for history.
Changeset 9124 for branches/2017/dev_merge_2017/NEMOGCM/NEMO/OPA_SRC/TRA/traadv_qck.F90 – NEMO

Ignore:
Timestamp:
2017-12-19T09:26:25+01:00 (6 years ago)
Author:
gm
Message:

dev_merge_2017: ln_timing instead of nn_timing + restricted timing to nemo_init and routine called by step in OPA_SRC

File:
1 edited

Legend:

Unmodified
Added
Removed
  • branches/2017/dev_merge_2017/NEMOGCM/NEMO/OPA_SRC/TRA/traadv_qck.F90

    r9094 r9124  
    2222   USE diaptr          ! poleward transport diagnostics 
    2323   ! 
     24   USE in_out_manager  ! I/O manager 
    2425   USE lib_mpp         ! distribued memory computing 
    2526   USE lbclnk          ! ocean lateral boundary condition (or mpp link) 
    26    USE in_out_manager  ! I/O manager 
    27    USE timing          ! Timing 
    2827   USE lib_fortran     ! Fortran utilities (allows no signed zero when 'key_nosignedzero' defined)   
    2928 
     
    9594      !!---------------------------------------------------------------------- 
    9695      ! 
    97       IF( ln_timing )   CALL timing_start('tra_adv_qck') 
    98       ! 
    9996      IF( kt == kit000 )  THEN 
    10097         IF(lwp) WRITE(numout,*) 
     
    116113      !        ! vertical fluxes are computed with the 2nd order centered scheme 
    117114      CALL tra_adv_cen2_k( kt, cdtype, pwn,         ptn, pta, kjpt ) 
    118       ! 
    119       IF( ln_timing )   CALL timing_stop('tra_adv_qck') 
    120115      ! 
    121116   END SUBROUTINE tra_adv_qck 
     
    427422      REAL(wp) ::  zc, zcurv, zfho          !   -      - 
    428423      !---------------------------------------------------------------------- 
    429       ! 
    430       IF( ln_timing )   CALL timing_start('quickest') 
    431424      ! 
    432425      DO jk = 1, jpkm1 
     
    460453      END DO 
    461454      ! 
    462       IF( ln_timing )   CALL timing_stop('quickest') 
    463       ! 
    464455   END SUBROUTINE quickest 
    465456 
Note: See TracChangeset for help on using the changeset viewer.